- STA란? -

 

(Static Timing Analysis)

 - timing violation에 대한 모든 path를 확인하여 logic의 timing을 검증하는 방법입니다.

 

 

 

STA를 하는 이유

 

- Clock이 들어갈 때 즉각적으로 rising이나 falling이 되는 것이 이상적이지만 실제로는 기울어져 들어가게 됩니다.

   → Function 적으로 문제가 없을지라도 timing이 맞지 않으면 불안정한 출력 값이 나오게 됩니다.                            

 

 

 

Timing path

 

- STA 진행 시 logic을 timing path로 나누어 검증

- timing path를 구성하는 요소

  1) Start point 

      : timing path의 시작 지점. start point는 input port나 register의 clock pin 이어야 합니다.

  2) Combinational logic 

      : memory나 register 등이 아닌 buffer, AND, OR로 이루어진 logic입니다.

  3) End point 

      : timing path의 끝 지점. end point는 output port나 register의 input pin 이어야 합니다.

 

PATH1. input port → register input pin

PATH2. register clock pin → register input pin

PATH3. register clock pin → output port

PATH4. input port → output port

 

 

 

Path의 종류

 

- Clock path

    : 하나 이상의 buffer나 inverter를 거치는 path

- Asynchronous path

    : path가 reset에서 시작되거나 다른 종류의 clock을 사용하는 것과 같은 비동기 path

- Multicycle path

    : capture가 2 cycle 이상의 주기에서 걸리도록 설계된 path

- False path

    : timing constraint를 충족하는 데 필요하지 않는 path

       연결된 2개의 mux가 있다고 가정하면 select에 따라 한 개의 mux는 동작하지 않을 수 있습니다.

          하지만 tool에서는 동작하지 않는 mux의 path도 고려하기 때문에

          이러한 path들을 false path 지정하여 timing을 보지 않도록 해야 합니다.

 

  • Maximum delay : 가장 최악의 조건에서의 delay (최장 경로, delay가 큰 cell 통과 등등..)
  • Minimum delay : 가장 최선의 조건에서의 delay (최단 경로, 최적화된 path, delay가 작은 cell 등등..)

 

 

 

Timing violation

 

- Hold violation : data가 input으로 들어온 후 clock이 너무 빠르게 transition 될 경우 발생하는 violation

- Setup violation : clock이 data 보다 빠르게 들어와 data의 진행 시간을 놓쳤을 때 발생하는 violation

 

 

 

반응형

- OCC란? -

 

(On-chip Clock Controllers)

- 외부 장치(ATE)에서 오는 clock을 제어하기 위한 clock controller

- 2개의 clock이 필요한 at-speed test 진행 시 사용됩니다.

 

 

 

At-speed test

 

- Logic을 기능적으로 test 할 때(SCAN, LBIST) 원래 동작하는 clock보다 느린 clock을 사용하여 test 하게 됩니다.

  → 하지만 transition delay fault를 test 할 때는 실제 logic이 동작하는 속도의 clock으로 test를 진행해야 합니다.

  → 실제 logic의 동작 속도로 test 하는 것을 at-speed test라고 합니다.

 

 

느린 clock으로 test를 진행하는 이유

- dynamic power의 손실을 줄이기 위해

 

 

transition delay test에서 at-speed test가 필요한 이유

- stuck at fault를 test 할 때는 delay와 상관없이 값의 고착만 확인하면 되기 때문에 clock의 속도는 의미가 없습니다.

  하지만 transition delay fault는 delay를 test 하기 때문에 실제 logic의 동작 속도로 test를 진행해야 합니다.

 

 

 

PLL clock (Phase-Locked Loop)

 

- Clock의 위상이 흔들리지 않게 고정시켜 줍니다.

  → clock의 위상이 흔들리지 않게 함으로서 clock을 안정화 시켜주고 품질을 높여줍니다.

  → 입력으로 받은 clock의 주파수를 chip에서 사용할 주파수로 바꿔 줄 수 있습니다.

 

 

 

Scan chain

 

- Logic을 test 할 때 test data를 shift 하기 위하여 사용되는 설계 구조

- 여러 개의 Scan flip-flop이 연속적으로 연결되어 형성됩니다.

- 처음 flip-flop의 input은 data를 받고 마지막 flip-flop의 output은 data를 추출하는 데 사용됩니다.

 

 

 

OCC구조

Digital Test Architectures - ppt download (slideplayer.com)

- SE에 1을 주면 register에 0 shift

- SE에 0을 주면 register에 1 shift

 

  Q3 Q2 Q1  
SE = 1 0 0 0  
SE = 0 1 0 0  = PLL clock
  1 1 0  = PLL clock
  1 1 1  
SE = 1 0 1 1  

1. SE에 1을 주고 flip flop을 모두 0으로 채웁니다.

2. flip flop에 0이 모두 채워졌을 때 SE에 0을 주게 되면 PLL clock이 딱 2번만 들어가게 되는 구조입니다. (capture)

 

 

반응형

- 메모리 반도체란? -

 

 전기적으로 반도체 회로를 제어하여 데이터를 저장하는 반도체

 

 

ROM(Read Only Memory)

: 비휘발성 메모리. 전원이 꺼져도 저장된 데이터가 사라지지 않는 메모리

 

 

Mask ROM

: 메모리를 제조하는 과정에서 데이터를 미리 저장시켜 사용자가 내용을 변경할 수 없는 ROM

 

- 저장된 내용을 조금이라도 바꾸려면 다시 제작해야 합니다.

- 대량생산을 하게 되면 비교적 가격이 저렴합니다.

- 따라서 내용을 바꿀 일이 없고 대량생산이 필요한 곳에 사용됩니다.

-> 비디오카드, 프린터의 폰트, 키보드 바이어스 등

 

 

OTPROM(One time Programmable ROM)

: 1번만 프로그래밍이 가능한 ROM

 

- 데이터가 없는 상태로 제작되어 사용자가 내용을 써넣을 수 있도록 만들었습니다.

- 한 번만 수정이 가능하기 때문에 내용을 잘못 썼다면 chip 자체를 버려야 합니다.

- 센서, 암호화 키 등에 사용됩니다.

 

 

EPROM(Erasable PROM)

: 저장된 데이터를 지우고, 다시 쓸 수 있는 ROM

-> 데이터를 지우는 방식에 따라 EEPROM과 UVEPROM으로 나뉘게 됩니다.

 

 

UVEPROM(Ultra-Violet EPROM)

: 자외선을 이용하여 데이터를 지울 수 있는 EPROM

 

- EEPROM에 비해 가격이 저렴하고 쓰기/지우기 속도가 빠릅니다.

- 자외선을 이용하는 방법의 번거로움 때문에 현재는 거의 사용하지 않습니다.

 

 

EEPROM(Electrically Erasable PROM)

: 고전압을 이용하여 데이터를 지울 수 있는 EPROM

 

- 한 번에 1byte씩만 지울 수 있기 때문에 비교적 느립니다.

 -> 메인 메모리로 사용되는 것이 아닌 데이터를 백업하는 데 사용하는 것이 효율적입니다.

- 데이터 재기록을 반복하다 보면 절연층이 손상될 수 있기 때문에 영구적으로 가능하지는 않습니다.

- UVEPROM에 비해 가격이 비싸고 쓰기/지우기 속도가 느립니다.

 

 

 

RAM(Random Access Memory)

: 휘발성 메모리. 전원이 꺼지면 저장된 데이터가 사라지는 메모리

 

 

SRAM(Static RAM)

: 정적 메모리. flip flop 방식을 사용하는 RAM

 

- flip flop을 사용하기 때문에 전원이 공급되는 한 저장된 데이터가 사라지지 않습니다.

- 쓰기/지우기 속도가 빠릅니다.

- 회로가 복잡하고 가격이 비쌉니다

- 메모리 용량이 작습니다.

- 속도는 빠르지만 용량이 작기 때문에 CPU의 캐시메모리와 같이 속도가 중요한 곳에 사용됩니다.

 

 

DRAM(Dtnamic RAM)

: 동적 메모리. capacitor를 사용하는 RAM

 

- capacitor는 시간이 지남에 따라 스스로 방전되기 때문에 전력이 계속 공급되더라도 시간이 지나면 저장된 데이터가 사라집니다.

-> 저장된 데이터를 유지하기 위해 일정 시간마다 refresh 회로에 refresh 신호를 줘야 합니다.

    (빠져나간 전하를 채우는 과정)

- 회로가 단순하고 가격이 저렴합니다.

- 메모리 용량이 큽니다.

- 속도는 느리지만 용량이 크기 때문에 컴퓨터, 핸드폰의 메모리와 같이 일반적인 데이터를 저장하는 곳에 사용됩니다.

 

 

SDRAM(Synchronous DRAM)

: DRAM에 clock pulse를 동기화 시킨 RAM

 

- 시스템 clock에 맞게 메모리를 동작 시킬 수 있습니다.

- 1 clock 당 1개의 데이터를 쓰거나 지우는 구조로 되어있습니다.

 

 

DDR SDRAM(Double Data Rate SDRAM)

: SDRAM보다 2배 빠르게 동작하는 RAM

 

 

반응형

- 반도체 IP -

 

(Intellectual Property)

반도체 chip 내에 구현될 수 있도록 기능적으로 미리 정의된 블록입니다.

 

 

 

IP를 사용하는 이유

 

- Chip을 개발할 때 chip 내에는 기능적인 부분에 따라 여러 block으로 나누어져 있습니다.

- 만약 block이 10개라고 가정하면 5개는 직접 개발이 가능하지만

  나머지 5개는 기술적, 시간적 문제로 인해 개발하지 못하는 상황이 있을 수 있습니다.

  이런 상황에서 원하는 기능을 가진 다른 회사의 IP를 사용하여 해당 block을 구현할 수 있습니다.

- 즉, chip을 개발함에 있어 기술적, 시간적 문제로 인해 직접 개발하지 못하는 block은

  다른 회사의 IP를 도입하여 chip 설계를 완성시킬 수 있습니다.

 

 

 

IP 재사용

 

- IP를 개발하는 업체들은 IP를 재사용할지 일회성으로 사용할지 결정해야 합니다.

- 재사용이 가능한 IP를 개발하는 데에는 많은 시간과 비용이 들지만

  한번 개발한 이후로는 빠르고 저렴하게 이용이 가능합니다.

- 일회성 IP는 처음 개발 당시에는 시간과 비용이 비교적 적게 들지만 일회성이기 때문에 두 번 사용이 불가능합니다.

- 따라서 IP를 개발할 때에는 처음부터 해당 IP를 재사용 할 것인지에 대한 여부를 결정하고 진행해야 합니다.

 

 

 

IP의 종류

 

- IP는 전달하는 방식에 따라 3가지 종류로 나뉩니다.

  • Soft IP
  • Hard IP
  • Firm IP

 

 

Soft IP

 

- Verilog, VHDL와 같이 RTL 언어로 작성된 IP입니다.

 

장점

  • 레이아웃이나 기술적인 mapping이 되어있지 않고 logic만 구현되어 있습니다.
  • 다른 logic들과의 합성이 가능합니다.
  • 다른 종류의 IP들 보다 유연하게 사용 가능합니다.
  • 가격이 비교적 저렴합니다.

단점

  • 합성 시 다른 logic과 조합된 이후 IP logic이 정상적으로 동작하지 않을 수 있습니다.

 

 

Hard IP

 

- 모든 설계와 검증이 완료된 데이터 형태의 IP입니다.

 

장점

  • 정해진 공정에서 바로 사용이 가능합니다.
  • 배치, 배선이 완료되어 있습니다.
  • 레이아웃, timing 등 검증이 완료되어 있습니다.
  • block에서 사용 시 blackbox로 처리가 가능하여 시간 단축에 있어 유리합니다.
  • hard IP의 정보가 많아 설계 정보를 쉽게 입수할 수 있습니다.

단점

  • 완성도를 보장하기 때문에 가격이 비쌉니다.
  • 공정을 비롯하여 배치, 배선이 정해져 있기 때문에 특정 공정에서만 사용이 가능합니다.

 

 

Firm IP

 

- Soft IP와 Hard IP 중간 단계, 즉 약간의 floorplanning 정보를 가진 gate level netlist 형태의 IP입니다.

- 어느 정도의 성능 예측이 가능합니다.

- 한 회사의 firm IP를 사용하여 타 회사에서 변환하여 사용할 수 있습니다.

 

 

 

 

 

 

반응형

- 반도체 용어 (S~) -

 

Scribe Line

- die와 die 사이의 간격

- 간격이 필요한 이유

  •    주변 소자에 영향을 주지 않기 위해
  •    die를 자를 때 잘라낼 수 있는 폭의 여유를 주기 위해

 

Scrubber

- 물을 분사시켜 웨이퍼 위의 이물질을 제거하는 장치

 

SDF(Standard Delay Format)

- delay 정보의 IEEE standard

 

Semiconductor

- 반도체

 

Shrink

- 공정 조건에 따라 die를 축소시키는 작업

 

Silicon

- 실리콘. 원소 기호 14번으로 반도체 재료로 쓰임

 

Slack

- data arrival과 data required time의 차이

 

Slice

- 웨이퍼와 같은 의미

 

Small Signal TR

- 소신호 증폭기

- 작은 전력 파형을 다루는 트랜지스터

 

SMT(Surface Mount Technology)

- 표면 실장 기술

- 부품을 PCB에 접속할 때 구멍이 아닌 면에 접속하는 기술

 

SOT(Small Outline Transistor)

- 축소된 트랜지스터

 

SPC(Statistical Process Control)

- 생산 공정에서 제품을 모니터링하는 것

 

Sputtering

- 아르곤 가스를 사용하여 웨이퍼에 막을 입히는 기술

 

Standard Cell

- 기능을 구현하는 데 중점을 두는 설계 방법으로 inverter, gate, flip flop와 같이 기본적인 cell들이 해당됨

 

Substrate

- 기판

 

SOC(System On Chip)

- 하나의 칩 집적된 컴퓨터나 전자 시스템

 

Taping

- 웨이퍼를 절단하기 위해 tape에 부착하는 작업

 

TCK (Test Clock)

- register에 대한 clock

 

Tester

- 불량품을 판별하기 위해 사용되는 장비

 

Transistor

- 전기 신호, 전력을 증폭하거나 전환하는 데 사용되는 장치

 

Trailing edge

- clock pulse의 두번째 edge

  (대부분 두번째 edge는 falling edge이기 때문에 falling edge와 비슷한 의미)

 

TSOP(Thin Small Outline Package)

- 두께가 1mm 이하인 반도체 제품

 

TTL(Transistor Transistor Logic)

- 트랜지스터와 트랜지스터를 조합한 논리회로

 

VLSI(Very Large Scale Integration)

- 초고밀도 집적회로

- 수십만 수백만 이상의 소자로 형성된 집적 회로

 

Wafer

- 실리콘으로 만들어진 원형 판

 

Yield

- 양품율

 

 

 

 

 

 

 

 

  

반응형

- 반도체 용어 정리 (L~R) -

 

Leading edge

- clock pulse의 첫 번째 edge

  (대부분 첫 번째 edge는 rising edge이기 때문에 rising edge와 비슷한 의미)

 

Library

- 제품 설계에 필요한 gate, cell 등을 모아 놓은 것

 

LS(Large Scale Integration)

- 수천, 수백 개의 트랜지스터로 형성된 회로

 

Macro cell

- 특정 기능을 가지고 있는 standard cell

 

Mask

- 정해진 패턴이 새겨진 불투명한 판

- 패턴이 새겨진 곳에만 빛이 통과되고 불투명한 곳은 통과하지 못하여 패턴을 새길 수 있음

 

MCU(Micro Controller Unit)

- 마이크로프로세서, 메모리, 입출력 모듈을 통합하여 하나의 칩으로 만든 프로세서

 

Memory

- 데이터를 저장할 수 있는 반도체

 

Metallization

- 금속화 공정

- 반도체의 전기적 신호 연결, 서로 다른 반도체 간의 연결  

 

Microprocessor

- 컴퓨터의 CPU를 의미

 

Mixed Signal IC

- 아날로그와 디지털회로가 모두 있는 집적회로

 

MIPS(Million Instructions Per Second)

- 컴퓨터의 명령어 처리 속도를 의미

 

Monolithic Microwave Integrated Circuit

- micro 주파수에서 동작하는 집적 회로

 

N-MOS

- 전하에 의해 전류가 형성되는 트랜지스터

 

NVM(Non Volatile Memory)

- 비휘발성 메모리

 

OEM(Original Equipment Manufacturing)

- 고객의 요청에 따라 상품을 제작하여 판매하는 업체

 

Optoelectronics

- 광전자공학

- 빛을 연산이나 통신에 사용하는 것

 

PCB(Printed Circuit Board)

- 인쇄회로기판

- 부품을 납땜하여 기능적으로 완성시킨 판

 

PECVD

- 플라즈마를 이용하여 박막을 증착 시키는 공정

 

Plasma

- 양이온과 음이온의 수가 같은 가스

 

Pellicle

- mask를 보호하기 위해 가장자리에 부착하는 얇은 막

 

P-MOS

- 정공에 의해 전류가 형성되는 트랜지스터

 

RAM(Random Access Memory)

- 데이터를 쓰거나 읽을 수 있는 메모리

 

ROM(Read Only Memory)

- 데이터 읽기 전용 메모리

 

 

반응형

- 반도체 용어 정리 (E~I) -

 

Element

- 소자 또는 부품

 

Epoxy

- 열경화성 플라스틱. 열에 강하고 접착력이 강함

 

Equipment

- 기계류 장비

 

ESD(Electro Static Discharge)

- 정전기를 어느 정도까지 견딜 수 있는지를 나타내는 것

 

Etch

- 웨이퍼 위에 형성된 박막을 깎는 과정

 

ETM(Extracted Timing Models)

- sub block을 추상화한 model

- sub block 단계에서 timing 조건에 충족했다면

  chip top level에서 해당 block의 내부 timing 정보가 필요하지 않기 때문에

  ETM을 생성하여 chip top의 timing 분석 시간을 줄이기 위해 사용

 

FAB

- 웨이퍼를 가공하는 것

 

Fabless

- 반도체를 설계하는 업체, 반도체 공정이 불가능한 업체

  ex) intel

 

FET

- 단극성 트랜지스터. 전자와 정공 중 하나만 전류로 이용. 전압으로 전류를 제어

 

Flip Flop

- 데이터를 저장할 수 있는 레지스터

 

Foundry

- 반도체 제조설비가 가능한 업체

  ex) 삼성, TSMC

  

FPGA

- 프로그래밍이 가능한 반도체 소자

 

GaAs(Gallium Arsenide)

- 화합물 반도체. 실리콘보다 전자 이동이 빨라 초고속 장치에 사용됨

 

Germanium

- 게르마늄. 반도체 재료로 사용되는 물질

 

IBIS(In(out)put Buffer Information Specification)

- simulation에서 in(out)put buffer의 값을 알 수 있는 model

 

IC(Integrated Circuit)

- 집적회로. 2개 이상의 회로를 기판에 집적하여 연결시킨 회로

 

IDM(Integrated Device Manufacturing)

- 종합 반도체 업체

  ex) 삼성

 

Implanting

- 웨이퍼에 불순물을 주입시키는 장치

 

Ingot

- 실리콘 기둥. 고온에서 실리콘을 녹여 기둥 모양의 단결정으로 응고시키는 것

 

Injection

- 캐리어가 고밀도에서 저밀도로 이동하는 현상

 

I/O(Input Output)

- 데이터의 입출력

 

Ionizer

- 정전기를 제거하는 장치

- 이온을 정전기의 반대 극성으로 방출시켜 중화 소멸

 

Ion Implantation

- 이온을 주입하는 것

- 반도체 소자에 원하는 전기적 특성을 가지게 하기 위해 기판 위에 특정 극성의 이온을 주입 시킴

 

IR drop

- chip의 power는 metal을 통해 cell에 전달 

  이때 metal layer, wire 등을 통해 다른 cell로 전해지는데

  metal layer, wire의 저항 때문에 전압이 떨어져 전해지는 것을 의미

 

Isolation

- 소자 분리하여 고립시키는 것

 

 

반응형

- 반도체 용어 정리 (A~D) -

 

Abrasive

- 연마제

 

ADC (Analog to Digital Converter)

- 아날로그 신호를 디지털 신호로 바꿔주는 장치

 

AHU(Air Handling Unit)

- 실내 공기 정화, 환기, 에어컨 등을 컨트롤하는 장치

 

Analog

- 연속적인 물리량의 값을 나타내는 것

 

Angstrom

- 길이의 단위로 1A = 1억 분의 1cm

 

Antimony

- 원자 번호 51번의 원소(Sb), N형 불순물에 해당

 

APCVD (Atmospheric Pressure CVD)

- 박막 증착 기술의 화학 증착법

 

Array logic

- logic circuit을 배열하여 만든 logic

 

ASIC(Application Specific Integrated Circuit)

- 특정한 용도에 맞게 제작된 집적회로

 

ASSP(Application Specific Standard Product)

- 반도체 업체에서 특정한 용도에 맞게 제작하여 다른 업체들을 대상으로 판매하는 집적회로

 

ATE(Automatic Test Equipment)

- chip이나 집적 회로를 test 하는 장비

 

B/B Ratio(Book to Bill Ratio)

- 주문과 출하의 비율. 1이 넘어가면 수요량이 많은 것을 의미

 

Binary

- 2진법 (0과 1만을 사용하여 나타내는 것)

 

Capacitor

- 전하를 모으는 장치

 

Carrier

- 전자와 정공

 

CPU(Central Processing Unit)

- 연산기능, 제어 등에 수행됨. 인간의 뇌에 해당

 

Chiller

- 반도체 공정에 발생하는 열을 낮추거나 제조 공정의 냉각에 사용되는 장비

 

Chip

- 소자와 회로를 이용하여 만들어진 반도체

 

Chrome Mask

- chrome으로 도포된 mask

 

CMOS(complementary metal-oxide semiconductor)

- P, N 채널 MOS 트랜지스터를 서로 합친 것

  → 낮은 전력 소모

 

Customer

- 반도체 주문 고객

 

DAC (Digital to Analog Converter)

- 디지털 신호를 아날로그 신호로 바꿔주는 장치

 

Defect

- 결함. 사용 가능한 조건을 만족하지 못한 것.

 

Density

- memory, cell 등의 밀접도

 

Device

- 장치, 제품

 

Die

- 웨이퍼에서 반도체별로 절단한 작은 조각

 

Die Coating

- die에 막을 씌우는 작업

 

Diffusion

- 농도가 높은 곳에서 낮은 곳으로 이동하는 것

 

Diode

- 전류를 정방향으로만 흐르게 하는 성질을 가진 소자

 

Dope

- 반도체에 불순물을 넣는 것

 

 

반응형

- LEC -

 

(Logic Equivalance Check)

- 기존 netlist와 logic 추가 이후 변경된 netlist의 function이 동일한지 검증하는 단계입니다.

 

 

 

LEC를 하는 이유

 

- chip 설계 중 기능이 변경되면 chip 전체가 쓸모 없어지기 때문에  LEC를 통해 function 적인 부분을 test 해야 합니다.

  * RTL code 합성 시 진행하는 optimization이나 DFT logic 삽입, PnR 등을 진행하다 보면 function 적으로 바뀌어 버릴 수 있습니다. 

- 기술이 발전으로 logic의 복잡성이 증가함에 따라 LEC는 logic의 function 적인 부분에 있어서 중요한 역할을 합니다.

 

 

 

LEC 방법

 

- Logic을 test 하기 위해 gate simulation을 하게 되면 많은 test vector가 필요합니다.

  → Test vector는 입력이 될 수 있는 모든 경우의 수를 포함시켜 만들 가능성이 매우 적습니다.

  따라서 Logic Equivalance Check는 전체 logic을 simulation 하는 것이 아닌

      key point를 이용하여 해당 부분의 출력을 비교합니다.

 

 

 

 

LEC의 3가지 단계

 

- Setup

- Mapping

- Compare

 

 

Setup

 

- 원래 logic과 수정된 logic을 지정하여 어떤 design들을 비교할 것인지 결정

   (ex) Gate Level Netlist vs PnR Netlist)

- blackbox의 specification 지정

- design의 clock info, power info 등을 지정

- 만약 DFT netlist와의 LEC라면 DFT mode를 제어하는 port들의 constraint도 추가해야 합니다. 

setup

 

 

Mapping

 

- 각 logic에서 비교할 point를 mapping(연결)해 주는 단계입니다.

  * 두 design 중 하나의 design에만 존재할 경우 mapping 하지 않습니다. (unmatched)

 

 

Verify

 

- mapping 된 point(key point)에서의 equivalent를 확인하는 단계입니다.

 

verify

 

 

 

LEC report

 

- Non-equivalence report

- Unmated report

- mapping report

 

 

 

언제 LEC를 해야 하나?

 

- RTL vs Gate level Netlist

- Gate Level Netlist vs DFT Netlist

- DFT Netlist vs PnR Netlist

 

 

 

LEC를 했을 때 Gate Simulation 보다 좋은 점

 

- 비교적 빠르게 확인하여 수정 가능 (Run time 감소)

- Simulation에 대한 의존도 감소

- Test vector가 필요 없음

- key point를 통해 정확한 검증 가능

- PnR 진행 시 생긴 버그의 누락 방지

 

 

 

 

 

 

반응형

- SCAN -

 

- LBIST라고도 하며 MBIST가 memory test를 위한 logic 이였다면 sacn은 logic test를 위한 logic입니다.

- Logic test는 Stuck-at Faults와 Transition Delay를 test 하기 위한 방법입니다.

  → Test pattern을 사용하여 나오는 결괏값을 golden output이랑 비교하며 진행합니다.

 

 

 

SCAN의 구성요소

 

 

Scan Flip-Flop

 

- Flip-Flop 앞에 MUX를 연결하여 scan enable 신호를 control 할 수 있게 만든 Flip-Flop

 

 

Scan chain

 

- Scan Flip-Flop를 shift register처럼 serial로 묶어 놓은 것

 

 

Scan compressor

 

- Scan chain을 짧게 병렬로 연결한 것

  → Scan chain에 비해 cell을 짧게 연결하여 test에 필요한 pattern을 줄일 수 있습니다.

  Scan chain에 비해 cell을 많이 연결할 수 있습니다.

 

 

 

SCAN 진행

 

 

PLL

 

- Input과 output의 주파수의 위상을 동일하게 유지시키고 주파수 증폭시켜주는 역할을 합니다.

 

 

OCC

 

- OCC란 ATE에서 clock을 제어하기 위해 삽입된 logic입니다.

 

* ATE : 외부 테스트 장치

 

 

ATPG

 

- Logic을 test 할 때 사용 되는 pattern을 자동으로 만들어 주는 것

  → Logic을 test 할 때 input을 넣어 결괏값을 비교합니다.

  → 이때 test pattern 또는 test vector를 input으로 사용합니다.

  → 이 test vector를 자동으로 만드는 것이 ATPG입니다.

 

 

At speed test

 

- 실제 logic의 동작 속도와 같은 clock으로 test 하는 것을 의미합니다.

  → Test를 할 때 느린 clock으로 test를 하기 때문에

      combinational logic을 테스트하는 순간에만(capture) at speed clock을 넣어줍니다.

      (Transition Delay를 test 할 때 사용)

 

 

느린 clock으로 test 하는 이유

 

- 고성능 ATE는 비용이 많이 들기 때문에 느린 ATE를 사용합니다.

 

 

 

SA & TD

 

 

Stuck-at Faults

 

- Input이 output에 영향을 미치지 못하고 출력이 고정되는 오류입니다.

 

 

Transition Delay

 

- 전환 지연 오류, 즉 clock이 밀려서(필요보다 늦게) 뜨는 경우입니다.

 

 

Transition delay test

 

- 전환 지연 오류 테스트 방법

  → Scan enable의 값에 1을 넣어 test pattern을 넣어줍니다.

  → Pattern이 모두 들어가면 scan enable에 0을 넣어 at-speed로 만들어 줍니다. (capture)

  → Capture를 하게 되면 scan F/F에 쌓이던 pattern이 combination logic으로 들어가게 됩니다.

  → 이 후 다시 scan enable에1을 넣어 combination logic에 있던 결과 값을 output으로 얻어냅니다.

 

 

 

 

 

 

반응형

+ Recent posts