- 메모리 반도체란? -

 

 전기적으로 반도체 회로를 제어하여 데이터를 저장하는 반도체

 

 

ROM(Read Only Memory)

: 비휘발성 메모리. 전원이 꺼져도 저장된 데이터가 사라지지 않는 메모리

 

 

Mask ROM

: 메모리를 제조하는 과정에서 데이터를 미리 저장시켜 사용자가 내용을 변경할 수 없는 ROM

 

- 저장된 내용을 조금이라도 바꾸려면 다시 제작해야 합니다.

- 대량생산을 하게 되면 비교적 가격이 저렴합니다.

- 따라서 내용을 바꿀 일이 없고 대량생산이 필요한 곳에 사용됩니다.

-> 비디오카드, 프린터의 폰트, 키보드 바이어스 등

 

 

OTPROM(One time Programmable ROM)

: 1번만 프로그래밍이 가능한 ROM

 

- 데이터가 없는 상태로 제작되어 사용자가 내용을 써넣을 수 있도록 만들었습니다.

- 한 번만 수정이 가능하기 때문에 내용을 잘못 썼다면 chip 자체를 버려야 합니다.

- 센서, 암호화 키 등에 사용됩니다.

 

 

EPROM(Erasable PROM)

: 저장된 데이터를 지우고, 다시 쓸 수 있는 ROM

-> 데이터를 지우는 방식에 따라 EEPROM과 UVEPROM으로 나뉘게 됩니다.

 

 

UVEPROM(Ultra-Violet EPROM)

: 자외선을 이용하여 데이터를 지울 수 있는 EPROM

 

- EEPROM에 비해 가격이 저렴하고 쓰기/지우기 속도가 빠릅니다.

- 자외선을 이용하는 방법의 번거로움 때문에 현재는 거의 사용하지 않습니다.

 

 

EEPROM(Electrically Erasable PROM)

: 고전압을 이용하여 데이터를 지울 수 있는 EPROM

 

- 한 번에 1byte씩만 지울 수 있기 때문에 비교적 느립니다.

 -> 메인 메모리로 사용되는 것이 아닌 데이터를 백업하는 데 사용하는 것이 효율적입니다.

- 데이터 재기록을 반복하다 보면 절연층이 손상될 수 있기 때문에 영구적으로 가능하지는 않습니다.

- UVEPROM에 비해 가격이 비싸고 쓰기/지우기 속도가 느립니다.

 

 

 

RAM(Random Access Memory)

: 휘발성 메모리. 전원이 꺼지면 저장된 데이터가 사라지는 메모리

 

 

SRAM(Static RAM)

: 정적 메모리. flip flop 방식을 사용하는 RAM

 

- flip flop을 사용하기 때문에 전원이 공급되는 한 저장된 데이터가 사라지지 않습니다.

- 쓰기/지우기 속도가 빠릅니다.

- 회로가 복잡하고 가격이 비쌉니다

- 메모리 용량이 작습니다.

- 속도는 빠르지만 용량이 작기 때문에 CPU의 캐시메모리와 같이 속도가 중요한 곳에 사용됩니다.

 

 

DRAM(Dtnamic RAM)

: 동적 메모리. capacitor를 사용하는 RAM

 

- capacitor는 시간이 지남에 따라 스스로 방전되기 때문에 전력이 계속 공급되더라도 시간이 지나면 저장된 데이터가 사라집니다.

-> 저장된 데이터를 유지하기 위해 일정 시간마다 refresh 회로에 refresh 신호를 줘야 합니다.

    (빠져나간 전하를 채우는 과정)

- 회로가 단순하고 가격이 저렴합니다.

- 메모리 용량이 큽니다.

- 속도는 느리지만 용량이 크기 때문에 컴퓨터, 핸드폰의 메모리와 같이 일반적인 데이터를 저장하는 곳에 사용됩니다.

 

 

SDRAM(Synchronous DRAM)

: DRAM에 clock pulse를 동기화 시킨 RAM

 

- 시스템 clock에 맞게 메모리를 동작 시킬 수 있습니다.

- 1 clock 당 1개의 데이터를 쓰거나 지우는 구조로 되어있습니다.

 

 

DDR SDRAM(Double Data Rate SDRAM)

: SDRAM보다 2배 빠르게 동작하는 RAM

 

 

반응형

- 반도체 IP -

 

(Intellectual Property)

반도체 chip 내에 구현될 수 있도록 기능적으로 미리 정의된 블록입니다.

 

 

 

IP를 사용하는 이유

 

- Chip을 개발할 때 chip 내에는 기능적인 부분에 따라 여러 block으로 나누어져 있습니다.

- 만약 block이 10개라고 가정하면 5개는 직접 개발이 가능하지만

  나머지 5개는 기술적, 시간적 문제로 인해 개발하지 못하는 상황이 있을 수 있습니다.

  이런 상황에서 원하는 기능을 가진 다른 회사의 IP를 사용하여 해당 block을 구현할 수 있습니다.

- 즉, chip을 개발함에 있어 기술적, 시간적 문제로 인해 직접 개발하지 못하는 block은

  다른 회사의 IP를 도입하여 chip 설계를 완성시킬 수 있습니다.

 

 

 

IP 재사용

 

- IP를 개발하는 업체들은 IP를 재사용할지 일회성으로 사용할지 결정해야 합니다.

- 재사용이 가능한 IP를 개발하는 데에는 많은 시간과 비용이 들지만

  한번 개발한 이후로는 빠르고 저렴하게 이용이 가능합니다.

- 일회성 IP는 처음 개발 당시에는 시간과 비용이 비교적 적게 들지만 일회성이기 때문에 두 번 사용이 불가능합니다.

- 따라서 IP를 개발할 때에는 처음부터 해당 IP를 재사용 할 것인지에 대한 여부를 결정하고 진행해야 합니다.

 

 

 

IP의 종류

 

- IP는 전달하는 방식에 따라 3가지 종류로 나뉩니다.

  • Soft IP
  • Hard IP
  • Firm IP

 

 

Soft IP

 

- Verilog, VHDL와 같이 RTL 언어로 작성된 IP입니다.

 

장점

  • 레이아웃이나 기술적인 mapping이 되어있지 않고 logic만 구현되어 있습니다.
  • 다른 logic들과의 합성이 가능합니다.
  • 다른 종류의 IP들 보다 유연하게 사용 가능합니다.
  • 가격이 비교적 저렴합니다.

단점

  • 합성 시 다른 logic과 조합된 이후 IP logic이 정상적으로 동작하지 않을 수 있습니다.

 

 

Hard IP

 

- 모든 설계와 검증이 완료된 데이터 형태의 IP입니다.

 

장점

  • 정해진 공정에서 바로 사용이 가능합니다.
  • 배치, 배선이 완료되어 있습니다.
  • 레이아웃, timing 등 검증이 완료되어 있습니다.
  • block에서 사용 시 blackbox로 처리가 가능하여 시간 단축에 있어 유리합니다.
  • hard IP의 정보가 많아 설계 정보를 쉽게 입수할 수 있습니다.

단점

  • 완성도를 보장하기 때문에 가격이 비쌉니다.
  • 공정을 비롯하여 배치, 배선이 정해져 있기 때문에 특정 공정에서만 사용이 가능합니다.

 

 

Firm IP

 

- Soft IP와 Hard IP 중간 단계, 즉 약간의 floorplanning 정보를 가진 gate level netlist 형태의 IP입니다.

- 어느 정도의 성능 예측이 가능합니다.

- 한 회사의 firm IP를 사용하여 타 회사에서 변환하여 사용할 수 있습니다.

 

 

 

 

 

 

반응형

- 반도체 용어 (S~) -

 

Scribe Line

- die와 die 사이의 간격

- 간격이 필요한 이유

  •    주변 소자에 영향을 주지 않기 위해
  •    die를 자를 때 잘라낼 수 있는 폭의 여유를 주기 위해

 

Scrubber

- 물을 분사시켜 웨이퍼 위의 이물질을 제거하는 장치

 

SDF(Standard Delay Format)

- delay 정보의 IEEE standard

 

Semiconductor

- 반도체

 

Shrink

- 공정 조건에 따라 die를 축소시키는 작업

 

Silicon

- 실리콘. 원소 기호 14번으로 반도체 재료로 쓰임

 

Slack

- data arrival과 data required time의 차이

 

Slice

- 웨이퍼와 같은 의미

 

Small Signal TR

- 소신호 증폭기

- 작은 전력 파형을 다루는 트랜지스터

 

SMT(Surface Mount Technology)

- 표면 실장 기술

- 부품을 PCB에 접속할 때 구멍이 아닌 면에 접속하는 기술

 

SOT(Small Outline Transistor)

- 축소된 트랜지스터

 

SPC(Statistical Process Control)

- 생산 공정에서 제품을 모니터링하는 것

 

Sputtering

- 아르곤 가스를 사용하여 웨이퍼에 막을 입히는 기술

 

Standard Cell

- 기능을 구현하는 데 중점을 두는 설계 방법으로 inverter, gate, flip flop와 같이 기본적인 cell들이 해당됨

 

Substrate

- 기판

 

SOC(System On Chip)

- 하나의 칩 집적된 컴퓨터나 전자 시스템

 

Taping

- 웨이퍼를 절단하기 위해 tape에 부착하는 작업

 

TCK (Test Clock)

- register에 대한 clock

 

Tester

- 불량품을 판별하기 위해 사용되는 장비

 

Transistor

- 전기 신호, 전력을 증폭하거나 전환하는 데 사용되는 장치

 

Trailing edge

- clock pulse의 두번째 edge

  (대부분 두번째 edge는 falling edge이기 때문에 falling edge와 비슷한 의미)

 

TSOP(Thin Small Outline Package)

- 두께가 1mm 이하인 반도체 제품

 

TTL(Transistor Transistor Logic)

- 트랜지스터와 트랜지스터를 조합한 논리회로

 

VLSI(Very Large Scale Integration)

- 초고밀도 집적회로

- 수십만 수백만 이상의 소자로 형성된 집적 회로

 

Wafer

- 실리콘으로 만들어진 원형 판

 

Yield

- 양품율

 

 

 

 

 

 

 

 

  

반응형

- 반도체 용어 정리 (L~R) -

 

Leading edge

- clock pulse의 첫 번째 edge

  (대부분 첫 번째 edge는 rising edge이기 때문에 rising edge와 비슷한 의미)

 

Library

- 제품 설계에 필요한 gate, cell 등을 모아 놓은 것

 

LS(Large Scale Integration)

- 수천, 수백 개의 트랜지스터로 형성된 회로

 

Macro cell

- 특정 기능을 가지고 있는 standard cell

 

Mask

- 정해진 패턴이 새겨진 불투명한 판

- 패턴이 새겨진 곳에만 빛이 통과되고 불투명한 곳은 통과하지 못하여 패턴을 새길 수 있음

 

MCU(Micro Controller Unit)

- 마이크로프로세서, 메모리, 입출력 모듈을 통합하여 하나의 칩으로 만든 프로세서

 

Memory

- 데이터를 저장할 수 있는 반도체

 

Metallization

- 금속화 공정

- 반도체의 전기적 신호 연결, 서로 다른 반도체 간의 연결  

 

Microprocessor

- 컴퓨터의 CPU를 의미

 

Mixed Signal IC

- 아날로그와 디지털회로가 모두 있는 집적회로

 

MIPS(Million Instructions Per Second)

- 컴퓨터의 명령어 처리 속도를 의미

 

Monolithic Microwave Integrated Circuit

- micro 주파수에서 동작하는 집적 회로

 

N-MOS

- 전하에 의해 전류가 형성되는 트랜지스터

 

NVM(Non Volatile Memory)

- 비휘발성 메모리

 

OEM(Original Equipment Manufacturing)

- 고객의 요청에 따라 상품을 제작하여 판매하는 업체

 

Optoelectronics

- 광전자공학

- 빛을 연산이나 통신에 사용하는 것

 

PCB(Printed Circuit Board)

- 인쇄회로기판

- 부품을 납땜하여 기능적으로 완성시킨 판

 

PECVD

- 플라즈마를 이용하여 박막을 증착 시키는 공정

 

Plasma

- 양이온과 음이온의 수가 같은 가스

 

Pellicle

- mask를 보호하기 위해 가장자리에 부착하는 얇은 막

 

P-MOS

- 정공에 의해 전류가 형성되는 트랜지스터

 

RAM(Random Access Memory)

- 데이터를 쓰거나 읽을 수 있는 메모리

 

ROM(Read Only Memory)

- 데이터 읽기 전용 메모리

 

 

반응형

- 반도체 용어 정리 (E~I) -

 

Element

- 소자 또는 부품

 

Epoxy

- 열경화성 플라스틱. 열에 강하고 접착력이 강함

 

Equipment

- 기계류 장비

 

ESD(Electro Static Discharge)

- 정전기를 어느 정도까지 견딜 수 있는지를 나타내는 것

 

Etch

- 웨이퍼 위에 형성된 박막을 깎는 과정

 

ETM(Extracted Timing Models)

- sub block을 추상화한 model

- sub block 단계에서 timing 조건에 충족했다면

  chip top level에서 해당 block의 내부 timing 정보가 필요하지 않기 때문에

  ETM을 생성하여 chip top의 timing 분석 시간을 줄이기 위해 사용

 

FAB

- 웨이퍼를 가공하는 것

 

Fabless

- 반도체를 설계하는 업체, 반도체 공정이 불가능한 업체

  ex) intel

 

FET

- 단극성 트랜지스터. 전자와 정공 중 하나만 전류로 이용. 전압으로 전류를 제어

 

Flip Flop

- 데이터를 저장할 수 있는 레지스터

 

Foundry

- 반도체 제조설비가 가능한 업체

  ex) 삼성, TSMC

  

FPGA

- 프로그래밍이 가능한 반도체 소자

 

GaAs(Gallium Arsenide)

- 화합물 반도체. 실리콘보다 전자 이동이 빨라 초고속 장치에 사용됨

 

Germanium

- 게르마늄. 반도체 재료로 사용되는 물질

 

IBIS(In(out)put Buffer Information Specification)

- simulation에서 in(out)put buffer의 값을 알 수 있는 model

 

IC(Integrated Circuit)

- 집적회로. 2개 이상의 회로를 기판에 집적하여 연결시킨 회로

 

IDM(Integrated Device Manufacturing)

- 종합 반도체 업체

  ex) 삼성

 

Implanting

- 웨이퍼에 불순물을 주입시키는 장치

 

Ingot

- 실리콘 기둥. 고온에서 실리콘을 녹여 기둥 모양의 단결정으로 응고시키는 것

 

Injection

- 캐리어가 고밀도에서 저밀도로 이동하는 현상

 

I/O(Input Output)

- 데이터의 입출력

 

Ionizer

- 정전기를 제거하는 장치

- 이온을 정전기의 반대 극성으로 방출시켜 중화 소멸

 

Ion Implantation

- 이온을 주입하는 것

- 반도체 소자에 원하는 전기적 특성을 가지게 하기 위해 기판 위에 특정 극성의 이온을 주입 시킴

 

IR drop

- chip의 power는 metal을 통해 cell에 전달 

  이때 metal layer, wire 등을 통해 다른 cell로 전해지는데

  metal layer, wire의 저항 때문에 전압이 떨어져 전해지는 것을 의미

 

Isolation

- 소자 분리하여 고립시키는 것

 

 

반응형

- 반도체 용어 정리 (A~D) -

 

Abrasive

- 연마제

 

ADC (Analog to Digital Converter)

- 아날로그 신호를 디지털 신호로 바꿔주는 장치

 

AHU(Air Handling Unit)

- 실내 공기 정화, 환기, 에어컨 등을 컨트롤하는 장치

 

Analog

- 연속적인 물리량의 값을 나타내는 것

 

Angstrom

- 길이의 단위로 1A = 1억 분의 1cm

 

Antimony

- 원자 번호 51번의 원소(Sb), N형 불순물에 해당

 

APCVD (Atmospheric Pressure CVD)

- 박막 증착 기술의 화학 증착법

 

Array logic

- logic circuit을 배열하여 만든 logic

 

ASIC(Application Specific Integrated Circuit)

- 특정한 용도에 맞게 제작된 집적회로

 

ASSP(Application Specific Standard Product)

- 반도체 업체에서 특정한 용도에 맞게 제작하여 다른 업체들을 대상으로 판매하는 집적회로

 

ATE(Automatic Test Equipment)

- chip이나 집적 회로를 test 하는 장비

 

B/B Ratio(Book to Bill Ratio)

- 주문과 출하의 비율. 1이 넘어가면 수요량이 많은 것을 의미

 

Binary

- 2진법 (0과 1만을 사용하여 나타내는 것)

 

Capacitor

- 전하를 모으는 장치

 

Carrier

- 전자와 정공

 

CPU(Central Processing Unit)

- 연산기능, 제어 등에 수행됨. 인간의 뇌에 해당

 

Chiller

- 반도체 공정에 발생하는 열을 낮추거나 제조 공정의 냉각에 사용되는 장비

 

Chip

- 소자와 회로를 이용하여 만들어진 반도체

 

Chrome Mask

- chrome으로 도포된 mask

 

CMOS(complementary metal-oxide semiconductor)

- P, N 채널 MOS 트랜지스터를 서로 합친 것

  → 낮은 전력 소모

 

Customer

- 반도체 주문 고객

 

DAC (Digital to Analog Converter)

- 디지털 신호를 아날로그 신호로 바꿔주는 장치

 

Defect

- 결함. 사용 가능한 조건을 만족하지 못한 것.

 

Density

- memory, cell 등의 밀접도

 

Device

- 장치, 제품

 

Die

- 웨이퍼에서 반도체별로 절단한 작은 조각

 

Die Coating

- die에 막을 씌우는 작업

 

Diffusion

- 농도가 높은 곳에서 낮은 곳으로 이동하는 것

 

Diode

- 전류를 정방향으로만 흐르게 하는 성질을 가진 소자

 

Dope

- 반도체에 불순물을 넣는 것

 

 

반응형

 - 삼성의 긱벤치 퇴출 이유 -

 

 핸드폰 성능 평가 사이트인 ‘긱벤치’에서 삼성전자 스마트폰 일부 기종이 벤치마크 순위에서 퇴출 당했습니다.

이유와 원인은 무엇이고 현재 상황은 어떻게 흘러가고 있는지에 대해 정리해 보았습니다.

 

 

 

긱벤치

 

- 먼저 긱벤치란 휴대폰 성능을 점검할 수 있는 사이트로 현재 자신의 폰에 대한 전반적인 성능을 점검할 수 있습니다.

- 여기서 높은 성능을 가진 기기들의 순위를 메겨 놓은 것이 벤치마크 순위입니다.  

 

 

 

GOS

 

- 이번에 갤럭시 일부 기종이 순위에서 제외된 이유는 GOS (Game Optimizing Service) 때문이라고 합니다.

- GOS란 휴대폰으로 게임을 할 때 그래픽 처리 장치인 GPU를 제어하여 화면 해상도를 낮추는 기능입니다.

 

 

 

무엇이 문제인가?

 

- 게임을 할 때 전력 소모가 커지고 처리해야 하는 데이터의 양이 많아져 발열이 심해지게 됩니다.

- 삼성이 이를 해결하기 위해 GOS를 무조건적으로 작동시켰다는 것입니다.

- “게임할 때 해상도를 낮춰 전력 소모를 줄이면 기기에도 좋고 배터리에도 좋은 것이 아닌가?”라고 생각할 수 있습니다.

- 하지만 반대로 말하면 높은 성능을 위해 기기를 구매하였지만

  정작 게임을 할 때는 GOS가 작동하여 기대한 성능이 나오지 않을 수 있습니다.

 

 

 

왜 문제인가?

 

- GOS의 작동에 대한 어떠한 언급이나 설명도 없었다는 것이 가장 큰 문제점으로 꼽히고 있습니다.

- 또한 긱벤치 벤치마킹을 하여 성능 점검을 할 때 GOS가 작동된 성능이 아닌 원래 기기의 성능이 나오기 때문에

  구매자들의 의문이 커질 수밖에 없는 상황입니다.

 

 

 

발열의 원인?

 

- 발열 원인 중 하나로 지목되고 있는 것이 퀄컴이 개발한 AP(중앙 처리장치)인 스냅드래곤8입니다.

- 퀄컴은 40%에 육박하는 세계 AP 시장 점유율을 가지고 있는 미국 회사로

  반도체 시장에서 엄청난 영향력을 보여주고 있는 회사입니다.

 

스마트폰 AP 시장 점유율

 

 

 

퀄컴의 새로운 파트너 TSMC?  

 

- 이번에 퀄컴은 TSMC에게 스냅드래곤8 Gen plus를 맡겼습니다.

- 우리나라에서 이슈가 되고 있는 이유는 이 전작 스냅드래곤8 Gen을 삼성이 맡았었기 때문입니다.

- 많은 사람들이 퀄컴이 파운드리 업체의 제조 역량을 비교하기 위해 이번에는 TSMC에게 맡겼다고 생각하고 있습니다.

 

 

 

발열은 누구의 문제인가?

 

- 만약 TSMC에서 발열 이슈를 해결한다면 삼성 파운드리의 역량의 문제가 되는 것이고,

  TSMC에서도 발열 이슈를 해결하지 못한다면 설계를 맡은 퀄컴의 문제가 되는 것입니다.

- 따라서 조만간 나올 스냅드래곤8 Gen plus에 반도체 시장의 시선이 집중되고 있다.

 

 

 

GOS에 대한 삼성의 입장

 

- 사용자들은 다른 방법으로 우회를 하여 GOS 기능을 끄고 사용하고 있었지만

  최근 업데이트로 인해 우회로가 막혀 엄청난 불만이 쏟아져 나왔습니다.

- 이에 따라 삼성은 GOS를 on/off 방식으로 바꾸겠다는 입장입니다.

 

 

반응형

- 자동차 대기 기간과 반도체 -

 

 요즘 신차 출고하는데 적게는 6개월에서 많게는 18개월이라는 대기 기간을 기다려야 합니다.

왜 자동차 대기 기간이 이렇게 길어진 것인지 알아보았습니다.

 

 

 

코로나19로 인한 자동차 반도체 주문 감소

 

- 코로나19와 자동차 판매량

  → 코로나 초반 자동차 판매율이 엄청나게 감소했습니다.

  → 때문에 자동차 업체들은 이듬해 자동차 반도체 주문을 줄이게 됩니다.

  → 그러나 점차 코로나에서 익숙해지고, 사람들의 보복심리 등으로 인하여 자동차 주문량이 회복되게 됩니다.

  → 하지만 이미 판매량 감소를 예상하고 반도체 주문을 줄인 자동차 업체의 입장으로서는 당장 차량을 만들기 위한 반도체가 부족할 수밖에 없는 것입니다.

 

코로나19 이후 자동차 판매량

 

 

 

반도체 공장의 자동차 생산량 감소

 

- 코로나19와 자동차 반도체 생산량

  → 위와 같은 이유로 반도체 공장에는 차량용 반도체 생산량이 감소했습니다.

  → 차량용 반도체 생산이 감소한 만큼 공장에서는 다른 전자기기나 IT 반도체로 생산을 집중하게 됩니다.

  → 하지만 예상과 달리 자동차 와 자동차 반도체 주문량이 금방 회복되게 되고,

      결국 공급량이 수요량을 따라가지 못하게 됩니다.

  → IT나 전자기기 반도체가 계속 호황일 뿐만 아니라 자동차 반도체보다 단가도 높고 리콜 등의 위험성이 낮기 때문에

      반도체 공장의 입장으로서는 굳이 지금 만들고 있는 다른 반도체를 줄이고 차량용 반도체를 만들 이유가 없게 됩니다.

  → 또한 차량용 반도체 고장 증설의 매우 높은 진입 장벽 때문에 공장의 증설도 적극적이지 않을 수밖에 없는 것입니다.

 

TSMC의 반도체 생산 비중

 

 

 

ECU

 

- 국내 자동차 예상 대기 기간 내용에는 대부분 ECU 부족으로 인한 대기 기간이라고 기재되어 있습니다.

- ECU(Electronic Control Unit)란 자동차용 컴퓨터로 사람의 뇌에 해당합니다.

- ECU는 안전, 경고, 주행 등 모든 자동차의 전자 시스템을 제어하는 역할을 합니다.

 

 

 

악재

 

-  텍사스 한파나 일본의 지진 등과 같이 반도체 공장을 강제로 중단하게 되는 악재도 겹치게 됩니다.

 

 

 

앞으로는?

 

- 미국의 바이든 대통령은 부임 직후 각 반도체 대기업들과 함께 회의를 하기도 했습니다.

- 그만큼 반도체 대란 해결을 위해 전 세계적으로 노력 중이라고 할 수 있습니다.

 

- 우리나라에서는 삼성과 현대자동차가 협력하여

  반도체의 수입 의존도를 줄이기 위하여 반도체 생산 국내화를 추진 중에 있습니다.

 

- 새로운 공장을 증설하여도 2년이라는 시간이 걸리기 때문에 단기간 내에 해결은 불가능하지만,

  각 나라와 기업들의 노력이 있기에 점차 해결될 것입니다.

 

 

반응형

- 반도체 8대 공정 -


웨이퍼 제조

 

- 실리콘으로 만들어진 원형 판을 웨이퍼라고 합니다.

  → 실리콘 용액을 가공하여 실리콘 원통을 만듭니다.

  이 원통을 얇게 잘라내면 여러 장의 원형 판이 만들어집니다.

  이 원형 판을 웨이퍼라고 합니다.

 

 

 

산화 공정

 

- 웨이퍼 표면을 산화시켜주는 단계입니다.

  웨이퍼 표면에 산소, 수증기를 뿌려 산화 막을 형성 시켜 줍니다.

  산화 막은 반도체 제조과정에서 웨이퍼 표면을 보호하고 회로 사이의 누설전류가 흐르는 것을 방지하는 역할을 합니다.

 

 

 

 

포토 공

 

- 회로를 그려주는 단계입니다.

  회로 패턴이 담긴 마스크를 웨이퍼에 찍어내기 위해 산화막 위에 빛에 반응하는 물질을 도포합니다.

      (목판인쇄술을 생각하시면 됩니다. 목판 : 마스크, 인쇄물 : 웨이퍼)

  그 후 마스크에 빛을 통과시키면 웨이퍼 표면에 회로가 새겨지게 됩니다.

  사진을 현상하듯 현상액을 뿌려 회로를 그려줍니다.

 

 

 

식각 공정

 

- 웨이퍼에 그려진 회로 외의 부분을 제거하는 단계입니다.

  식각 방법에는 액체를 사용하는 습식 식각, 기체를 사용하는 건식 식각이 있습니다.

  • 습식 식각 : 액체를 이용하여 웨이퍼 표면의 산화막을 제거하는 방법 (비교적 빠른 식각)
  • 건식 시각 : 이온을 이용하여 웨이퍼 표면을 식각하는 방법 (비교적 정교한 식각)

 

 

증착 및 이온 주입 공정

 

- 회로를 보호하고 전류를 흐르게 하는 단계입니다.

  회로끼리 구분하고 보호하기 위해 절연막이 필요합니다.

  따라서 박막이라는 얇은 막을 입히는 작업인 증착 작업을 진행합니다.

  하지만 아직 규소로만 이루어져 있기 때문에 불순물(이온)을 넣어 전류를 흐르게 해야 합니다.

 

 

 

금속 배선 공정

 

- 회로에 금속 배선을 증착 시켜주는 단계입니다.

  회로를 동작시키기 위해서는 전기적 신호가 필요합니다.

  이를 위해 알루미늄 같은 금속재료를 이용하여 얇은 금속 막을 증착 시켜줍니다.

 

 

 

eds 공정

 

- 각 칩의 품질을 테스트하는 과정으로 테스트를 통해 불량품이 없는지 구분해 내는 작업입니다.

  → 불량품 구분

  → 불량품 fix 가능 여부 판단 후 fix

  → 패키지 공정 효율 향상 목적

 

 

 

패키지 공정

 

- 완성된 웨이퍼 칩을 하나씩 잘라내 전자기기에 넣을 수 있는 형태로 만들어주는 과정입니다.

  → 웨이퍼 절단

  → 리드 프레임에 접착

  → 와이어 bonding

 

 

 

 
 
반응형

- 매체에서 자주 접하는 반도체 용어 -

 

팹리스

 

- 팹리스란 반도체를 직접 만들지 않고 설계만 하는 회사를 의미합니다.

- 우리가 흔히 아는 Intel, NVIDIA, 삼성 등이 대표적인 팹리스 회사입니다.

 

 

 

파운드리

 

- 파운드리는 팹리스와 반대로 설계는 하지 않고 위탁 생산만 하는 회사를 의미합니다.

- 또한, 삼성과 같이 설계와 생산을 모두 하는 IDM(종합 반도체 회사)도 파운드리에 포함됩니다.

- 세계에서 가장 큰 대만의 TSMC, 우리나라의 삼성, 미국의 Global Foundry 등이 대표적인 파운드리 회사입니다.

 

 

 

디자인 하우스

 

- 팹리스와 파운드리를 연결시켜주는 것이 디자인 하우스입니다.

- 팹리스에서 설계한 코드를 각 파운드리 공정에 맞는 Layout으로 만들어 줍니다. 

- 처음 설계보다 효율적인 Layout으로 수정하기도 합니다.

 

- 대부분의 디자인 하우스 회사는 팹리스가 아닌 파운드리 회사와 협력 관계를 가지고 있습니다.

  → 팹리스에서 파운드리 회사에 위탁 생산을 요청합니다.

  다시 파운드리 회사는 디자인 하우스에 설계 최적화 및 검증을 의뢰합니다.

  이후 다시 파운드리 회사의 공장으로 보내지는 구조를 가지고 있습니다.

 

 

 

반도체 기술력

 

- '3나노 공정, 5나노 기반 반도체 개발' '반도체 기술 경쟁력' 등의 기사에 나오는 ‘나노’는 길이의 단위입니다.

- x나노 공정이란, 회로를 그릴 때 소자와 소자를 연결하는 선이 필요한데, 이 선들 간의 간격을 의미합니다.

- 한마디로 ‘얼마나 더 작게 만들 수 있나?’가 반도체 회사의 기술력을 나타냅니다.

 

- 선들 간의 간격이 좁아지면 당연히 chip을 작게 만들 수 있습니다.

- 하지만 간격이 좁아짐에 따라 열이 발생하게 됩니다. 이로 인해 고장이나 오작동 등과 같은 문제가 발생합니다.

- 따라서 chip을 작게 만들면서 이러한 문제들이 발생하지 않게 하는 것이 반도체 기술력이라고 할 수 있습니다. 

 

※ 1nm = 10억 분의 1M

나노 단위 비교

 

 

 

수율(양품율)

 

- 전체 웨이퍼 중 완성된 양품 웨이퍼의 비율이나 한 웨이퍼에 있는 chip 중 양품 chip의 비율을 의미합니다.

- 쉽게 말해 공장에서 어떤 물건을 대량으로 만들 때, 흠집이나 깨짐 등이 없는 합격품의 비율을 수율이라고 합니다.

 

 

 

AP

 

- 컴퓨터의 CPU처럼 스마트폰이나 태블릿에서의 뇌의 역할을 하는 것이 AP입니다.

- AP 내부에는

  CPU나 연산을 담당하는 NPU,

  그래픽을 담당하는 DISP, DDI,

  통신을 담당하는 interface 등이 있습니다.

 

 

 

메모리

 

일상생활에서도 많이 쓰이는 메모리는 기억장치를 의미합니다.

 

 

<비휘발성 메모리>

 

- ROM : 전원이 꺼져도 저장된 정보는 지워지지 않는 메모리입니다.

- Mask ROM :가장 대표적인 memory로, 데이터를 한 번 저장해두고 쓰거나 지우는 것이 불가능합니다.

- EPROM : 저장된 데이터를 지우고 쓰는 것이 가능한 ROM으로, 데이터를 지우는 방법에 따라 2가지로 나뉩니다.

- EEPROM : 전압을 이용하여 데이터를 지우는 ROM

UVEPROM : 자외선을 이용하여 데이터를 지우는 ROM

- Flash memory : 위의 PROM보다 더 빠르게 지우고 쓰기가 가능한 memory입니다.

- OTP : 딱 1번 수정 가능한 ROM

 

 

<휘발성 메모리>

 

- RAM : 전원이 꺼지면 저장된 정보도 지워지는 메모리입니다. 

- DRAM : 삼성을 대표하는 RAM으로, SRAM보다 속도는 느리지만 큰 용량을 가지고 있습니다.

- SRAM : DRAM보다는 용량이 작지만 빠른 속도를 가지고 있습니다.

- SDRAM : 삼성에서 개발한 빠른 속도를 지원하는 RAM

- DDR SDRAM : SDRAM 보다 2배 빠른 속도를 지원하는 RAM으로

                         2020년에는 sk하이닉스가 DDR5 SDRAM을 개발했습니다.

 

 

반응형

+ Recent posts